FM25CL64B Verilog Model and Cadence Ncsim

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
Anonymous
Not applicable

In the config.v file i set the define to FM25CL64B.

   

When I try to compile the model with ncsim, the compiler tells me that he's expecting a keyword like 'module', 'macromodule' or 'primitive' at line 381. This is where the parameters for the choosen part start. 

   

Since there are only defines and parameters in this file the compiler output doesn't make much sense to me.

   

Ideas anybody?

0 Likes
3 Replies
MeduH_46
Employee
Employee
5 sign-ins 10 replies posted 5 replies posted

Hi Heino,

   

The FRAM_I2C.v is the model for FM25CL64B. I2C_Master.v is the I2C master and tb.v has an example test bench.I believe you have updated the config.v to define the correct part. Can you compile tb.v and check ? 

   

 

   

Regards,

   

Harsha

0 Likes
Anonymous
Not applicable
        I'm using the FRAM_SPI.v. And in the config.v I've selected the correct model. The delivered tb.v works perfectly with the ISE Simulator. But it's mandatory that I use Cadence Ncsim. And that's where i get the error messages.   
0 Likes
gorkemcilbir
Level 1
Level 1
First reply posted Welcome!

Hi,

 

Removing the files to be included from the reference libraries list may work.

 

Regards,

Gorkem

0 Likes