1 Wire Libriay to PSoC Creator 3.0

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
Anonymous
Not applicable

 Hi, can somebody tell me if is it possible to use 1 Wire Library in PSoC Creator 3.0? I want to use Temperature Sensor ds18b20. Where can I find this Lib?

0 Likes
10 Replies
ETRO_SSN583
Level 9
Level 9
250 likes received 100 sign-ins 5 likes given

There is a one wire master component in PSOC 1. Possibly

   

you could port that code to PSOC Creator.

   

 

   

Or port a bit banging code, just ggogle "bit bang one wire", quite a few

   

hits. One hit was using a uart to do the interface.

   

 

   

Regards, Dana.

0 Likes
EvPa_264126
Level 7
Level 7
500 replies posted 250 replies posted 100 likes received

 Perhaps you will help my simple project for PSoC2.0

   

I hope, Russian language will not be a problem.

       
     Logger for DS18B20   
   
        
0 Likes
Anonymous
Not applicable

Ok I've added 1 wire block but i can't wire this with my PSoC pins. This block is existing but the 3 outputs don't react. Is there any solution for this problem?

   

Thanks in advance for help

0 Likes
EvPa_264126
Level 7
Level 7
500 replies posted 250 replies posted 100 likes received

 It's just a drawing.  Open the file *. Cydwr   - i n it you will see the contact. 

   
    Resistor 3,3-4,7K  you have to install you rself.   
   
        
   
        
0 Likes
Anonymous
Not applicable

Sorry for the stupid questions but I'm newbie ;), I've added in default dependencies OneWire and Read_ROM_PSoC3 including components and code but I'm working with PSoC 4, is this a problem? When I add OneWire block to my project and Open the file *. Cydwr there aren't any pins to connect. If I'm doing something wrong?

0 Likes
lock attach
Attachments are accessible only for community members.
EvPa_264126
Level 7
Level 7
500 replies posted 250 replies posted 100 likes received
        Hi! This is an old project. I updated components. I attached Project for PSoC4 with new components below.   
0 Likes
Anonymous
Not applicable

 Is this possible to use this for PSoC 4? In folder You gave me there is "Read_ROM_PSoC3" and when I'm trying to build it I see a statement that something is 'unattended" or I'm doing something wrong?

0 Likes
lock attach
Attachments are accessible only for community members.
EvPa_264126
Level 7
Level 7
500 replies posted 250 replies posted 100 likes received

Hej Emk0091.  Try to repeat this project.
I just tested it.
Powodzenia!

Anonymous
Not applicable

Thanks for this project ! ;), but I can't to edit or update it.

   

I want to use 1 wire (ds18b20) and send the value using UART to my PC.

   

Maybe You have that project?

0 Likes
EvPa_264126
Level 7
Level 7
500 replies posted 250 replies posted 100 likes received

   

II still don't do projects with 1-Wire.

   

Temperature logger with sensor DS18B20 I have already proposed to You

   

Conclusion through the UART on the computer depends on the Protocol. Here in the forum you can find examples.

   

   

Still look at element14 100 Projects in 100 Days

   

   

I also have a few projects:

   

   

ICP DAS modules simulators

   

For the bluetooth module HC-04:      UART_Cogfig_Device.zip

0 Likes