Add a simple control pin from fx3 to fpga (App: slaveFifo2b)

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
lock attach
Attachments are accessible only for community members.
Anonymous
Not applicable

Hello,

   

 

   

I am not really into the whole fx3 stuff, but I want to add a simple control pin to my fx3 firmware. As a starting point I am using the slaveFifo2b-example application unchanged as it is so far. This is also the basic functionality of the whole system ( to read data via streamIn from fpga to pc via fx3 in 16bit mode, bulk_transfer).

   

Additionally I need a software control of the fpga via a simple pin for example, so it is enough to just set an fpga signal to high from outside using fx3. As I am just using 16 bit, I could maybe use GPIO[49] = DQ[31] as this control pin.

   

Unfortunately I have absolutely no idea how to add this functionality to my fx3 firmware (attached code-file). Could anybody help?

   

Thanks!

0 Likes
1 Reply
lock attach
Attachments are accessible only for community members.
Anonymous
Not applicable

Hi,

   

I have modified your file and attached here. I have configured GPIO 49 as output in that firmware. Search for the text "mady" in that file to see the places where I have made the changes.

   

You can use CyU3PGpioSimpleSetValue API in the firmware to drive that GPIO high or low.

   

Regards,

   

-Madhu

0 Likes