Wolfram CA

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
Anonymous
Not applicable

 Hi all

   

 

   

This component is simple 8bit Wolfram cellular automata.

   

 

   

Double click component set rule parameter 0-255 (default 30).

   

 

   

dout output  is 8bit CA value

   

 

   

q output is 1bit result (if dout = rule then value q=1)

   

 

   

Kamil

0 Likes
1 Reply
Anonymous
Not applicable

 sorry please delete double post attachment is not possible post - error message "!

0 Likes